Шведська компанія zeropoint technology ab представила запатентовану технологію стиснення даних в оперативній пам’яті ziptilion ip. За словами розробників, ефективність їх розробки порівнянна з фізичним подвоєнням обсягу доступної озп, але не вимагає установки в систему додаткових модулів.

Джерело зображення: tom’s hardware

Компанія зазначає, ziptilion ip впроваджується безпосередньо в процесор у вигляді додаткового блоку. Технологія працює безпосередньо з підсистемою кеш-пам’яті і контролером пам’яті процесора за допомогою стандартної структури межсоединений soc axi.

За словами компанії, ступінь стиснення в першу чергу залежить від формату даних і від запущених додатків, проте технологія справляється із завданням набагато ефективніше існуючих аналогів. Zeropoint порівнює ziptilion ip з програмними засобами стиснення пам’яті zswap і zram, що забезпечують стиснення в межах півтора разів, що помітно нижче показників нової технології.

За словами розробників, ефективність ziptilion ip порівнянна з подвоєнням обсягу озу

Творці ziptilion ip відзначають, що їх рішення майже не впливає на продуктивність підсистеми пам’яті. Вони пояснюють, що при використанні технології затримка при записі в озу все ж підвищується, але різниця не перевищує 1 наносекунди, а час читання стислої інформації з оперативної пам’яті виявляється більше приблизно на 100 наносекунд.

Компанія повідомляє, що отримала для виведення технології на ринок фінансування в розмірі 2,5 млн євро. Ziptilion ip вже впроваджена в якийсь 28-нанометровий чіп, вироблений на фабриці tsmc. У складі більш сучасних 7-нм чіпів блок ziptilion ip, вбудований в процесор з двоканальним контролером пам’яті, займатиме площу 1,36 мм2. Для серверних систем, що використовують 8-канальну оперативну пам’ять, розмір блоку збільшиться приблизно до 3,02 мм2.

Технологію можна використовувати в будь-яких процесорах

Zeropoint впевнена у великих перспективах своєї розробки. Вона прогнозує, що ziptilion ip знайде своє застосування як мінімум в серверних процесорах, однокристальних системах (soc) для мобільних пристроїв, а також у складі вбудованих систем. Крім того, в документації технології згадується можливість її використання разом з відеопам’яттю, проте ніяких подробиць з цього приводу поки не наводиться.

Джерело зображень: zeropoint technology ab

Цікаво, що zeropoint повідомила про те, що вже веде розробку оновленою технологією ziptilion+, яка забезпечить ще більш високий коефіцієнт стиснення даних в порівняння з ziptilion ip.